Driver Simulation Project - 61850 variables

Driver Simulation Project - 61850 variables

Hi,
I have a Zenon project in which there is a 61850 driver, with its own variables (variables name according to the standard).
I want to use Driver Simulation Project, so in driver property I activated Mode: Simulation - Programmed.
Problem appears in Zenon Logic Workbench: in variables editor I see that some variables name are truncked, so there is no connection between Zenon e Zenon Logic, if variable name doesn't match.
For example:
variable in Zenon: ALJ3!ALJ3IN/GGIO13/DPCSO1/stVal[ST]
variabile in Zenon Logic after: DPCSO1/stVal[ST]
As you can see, variable name is different.

This is a migrated post! Originally posted on 21.09.2017 by user steven.costantini. Please be aware that information can be outdated.